Verilog HDL Program for Parallel In – Serial Out Shift Register

Verilog HDL Program for Parallel In – Serial Out Shift Register.

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
module piso1(sout,sin,clk);
    output sout;
    input [3:0]sin;
    input clk;
    wire [3:0]q;
    inv u1(p,sl);
    and1 u2(n,sin[1],p);
    and1 u3(r,sl,q[0]);
    or1 u4(s,n,r);
    and1 u5(t,sin[2],p);
    and1 u6(u,sl,q[1]);
    or1 u7(v,u,t);
    and1 u8(w,sin[3],p);
    and1 u9(y,sl,q[2]);
    or1 u10(z,w,y);
    dff1 u11(q[0],sin[0],clk);
    dff1 u12(q[1],s,clk);
    dff1 u13(q[2],v,clk);
    dff1 u14(q[3],z,clk);
    assign sout = q[3];
endmodule
Simulated Waveform for Parallel In – Serial Out Shift Register
Simulated Waveform for Parallel In – Serial Out Shift Register
Ansten Lobo

3 thoughts on “Verilog HDL Program for Parallel In – Serial Out Shift Register

  1. Do you mind iif I quote a couple of your pksts as long as I provide crediit and sources back to your site?
    My website iis in the exact same area of interest as yours and my
    users would truly benefit from somke of tthe information you provide here.
    Please let me kno if this alrigght with you.

    Thanks a lot!

  2. Hello my loved one! I wish to say that this article is amazing, great written and include approximately all significant infos. I’d like to look more posts like this .

Leave a Reply

Your email address will not be published. Required fields are marked *

Get the latest updates on your inbox

Be the first to receive the latest updates from Codesdoc by signing up to our email subscription.

    StudentProjects.in