Verilog HDL program for AND Logic gate

The AND gate is a basic digital logic gate that implements logical conjunction. A HIGH output (1) results only if both the inputs to the AND gate are HIGH (1). If neither or only one input to the AND gate is HIGH, a LOW output results. In another sense, the function of AND effectively finds the minimum between two binary digits, just as the OR function finds the maximum. Therefore, the output is always 0 except when all the inputs are 1s.

1
2
3
4
5
module and1(c,a,b);
    output c;
    input a,b;
    assign c=a&b;
endmodule
Simulated waveform for And Gate
Simulated waveform for And Gate
Ansten Lobo

One thought on “Verilog HDL program for AND Logic gate

Leave a Reply

Your email address will not be published. Required fields are marked *

Get the latest updates on your inbox

Be the first to receive the latest updates from Codesdoc by signing up to our email subscription.

    StudentProjects.in